一个8选1数据选择器,其地址输入(选择控制输入)端有几个()。

题目
单选题
一个8选1数据选择器,其地址输入(选择控制输入)端有几个()。
A

2

B

3

C

4

D

5

如果没有搜索结果或未解决您的问题,请直接 联系老师 获取答案。
相似问题和答案

第1题:

1路—4路数据分配器有()

A.一个数据输入端,两个选择控制端,四个数据输出端

B.四个数据输入端,两个选择控制端,一个数据输出端

C.一个数据输入端,一个选择控制端,四个数据输出端

D .四个数据输入端,一个选择控制端,一个数据输出端


参考答案A

第2题:

一个8选1数据选择器,其地址输入端(选择控制输入端)的个数应是( )。

A.3

B.4

C. 2

D.8


参考答案:C

第3题:

一个8选一数据选择器的数据输入端有()个。

A.1

B.2

C.4

D.8


参考答案:D

第4题:

一个4路数据选择器,其地址输入(选择控制输入)端有()个。

  • A、2个
  • B、3个
  • C、4个
  • D、5个

正确答案:A

第5题:

一个16选一的数据选择器,其地址输入(选择控制输入)端的个数是()。

  • A、1
  • B、2
  • C、4
  • D、16

正确答案:C

第6题:

八路数据分配器的地址输入(选择控制)端有8个。()


参考答案:错误

第7题:

数据选择器又称多路选择器(Multiplexer,简称MUX),它有()位地址输入、()位数据输入、1位输出。每次在地址输入的控制下,从多路输入数据中选择一路输出,其功能类似于一个单刀多掷开关,常用的数据选择器有()、()、()、()等。


参考答案:n;2n;2选1;4选1;8选1;16选1

第8题:

十六路数选择器的地址输入端有四个()


参考答案正确

第9题:

一个32路数据选择器,其地址输入(选择控制输入)端有()。

  • A、2个
  • B、3个
  • C、4个
  • D、5个

正确答案:D

第10题:

一个8路数据选择器,其地址输入(选择控制输入)端有()。

  • A、1个
  • B、2个
  • C、3个
  • D、4个

正确答案:C