试述VHDL程序中实体和结构体的相互关系。

题目

试述VHDL程序中实体和结构体的相互关系。

如果没有搜索结果或未解决您的问题,请直接 联系老师 获取答案。
相似问题和答案

第1题:

VHDL程序基本结构包括()

A.实体、子程序、配置

B.实体、结构体、配置、函数

C.结构体、状态机、程序包和库

D.实体、结构体、程序包和库


参考答案:D

第2题:

●硬件描述语言一般包括VHDL、Verilog、Superlog、SystemC等,在VHDL设计中,一个完整的设计单元应当包含5部分,下面不属于这5部分的是(32)。

(32)A.实体

B.结构体

C.赋值

D.配置


正确答案:C

第3题:

一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为( )。

A.设计输入

B.设计输出

C.设计实体

D.设计结构


参考答案:C

第4题:

一个完整的VHDL语言程序通常包含(),结构体(architecture),配置(configuration),包集合(package)和库(library)5各部分。


正确答案:实体(entity)

第5题:

VHDL程序的基本结构至少应包括()、结构体两部分和对库的引用声明。


正确答案:实体

第6题:

一个完整的VHDL程序,至少应包括三个基本组成部分是()

A、实体、子程序、配置

B、实体、结构体、配置、函数

C、结构体、状态机、程序包和库

D、实体、结构体、程序包和库


正确答案:D

第7题:

VHDL程序中必不可少的是实体和结构体。()

此题为判断题(对,错)。


参考答案:对

第8题:

MAXPLUSSII中编译VHDL源程序时,要求( )。

A.文件名和实体可不同名

B.文件名和实体名无关

C.文件名和实体名要相同

D.不确定


参考答案:C

第9题:

VHDL程序的基本结构包括库、()、实体和结构体。


正确答案:程序包

第10题:

VHDL程序的基本结构至少应包括实体、()两部分和对库的引用声明。


正确答案:结构体