说明VHDL中信号、变量、常数的涵义。

题目

说明VHDL中信号、变量、常数的涵义。

参考答案和解析
正确答案:信号:指所定义的数据是一个全局变量,在ARCHITECTURE,PACKAGE,ENTITY语句中进行说明。
变量:指所定义的数据是一个局部变量,在PROCESS,FUNCTION,PROCEDURE语句中进行说明。
常数:指所定义的数据是一个全局常量,在任何情况下都可以加以说明。通常对应地表示数字电路中的电源或数字地,有时也表示一个常数,如计数器中的预置初始值等。
如果没有搜索结果或未解决您的问题,请直接 联系老师 获取答案。
相似问题和答案

第1题:

VHDL中的子程序包含函数和过程两种,下列关于VHDL中的函数和过程的叙述错 误的是(31)。

A.函数内部的描述语句不能对函数体外定义的信号或变量赋值

B.函数是不可综合的

C.过程中的变量需要在每次调羽时初始化

D.过程语句体中的顺序描述语句可以对过程语句外的信号赋值


正确答案:B
本题考查逻辑设计语言VHDL的基硎知识。在VHDL语言中,函数和过程统称为子程序。子程序与进程的相同点是:内部包含的都是顺序描述代码,使用相同的顺序描述语句,比如if'case和loop。不同点是:进程可以直接在主代码中调用,子程序一般在建库的时候使用,同时子程序中不能使用wait语句。一个函数就是一段顺序描述的代码。时于一些经常遇到的具有共性的设计问题都可以使用函数来实现。由于在每次调用函数时,都要首先对其进行初始化,即一次执行结束后再调用需要再次初始化,因此在函数中禁止进行信号声明和元件实例化,函数是可以被综合的。函数中使用retum来进行返回,一次只能返回一个值返回值的类型由retum后面的数据类型指定。过程可以具有多个输入,输出、双向模式的参数,可以是信号、变量和常量。对于输入模式的参数,默认为常量,对于输出和双向模式的参数,默认为变量。过程中的变量需要在每次调用时候进行处理后,同时其可以对外部的信号进行赋值。

第2题:

一个信号处于高阻(三态)时的值在VHDL中描述为()。


正确答案:‘Z’

第3题:

在VHDL中,( )不能将信息带出对它定义的当前设计单元。

A.信号

B.常量

C.数据

D.变量


参考答案:D

第4题:

说明VHDL中信号、变量、常数说明格式。


正确答案:常数说明格式为:CONSTANT常数名:数据类型:=表达式;
变量说明语句的格式为:VARIABLE变量名:数据类型约束条件:=表达式;
信号说明格式:SIGNAL信号名:数据类型约束条件<=表达式。

第5题:

VHDL的客体,或称数据对象包括了常数、()和()。


正确答案:变量variable;信号signal

第6题:

在VHDL的常用对象中,信号、()可以被多次赋予不同的值,常量只能在定义时赋值。


正确答案:变量

第7题:

VHDL语言的变量和信号有什么区别?


正确答案: 1,信号赋值是有一定延迟的,而变量赋值是没有延迟的,
2,对于进程语句来说,进程只对信号敏感,而不对变量敏感
3,信号在莫一时刻除了具有当前值外,还有一定的历史信息,而变量在某一时刻只包含一个值,
4,信号可以是多进程的的全局信号,而变量只在定义它的过程,函数,和进程中可见,
5,信号时硬件中连线的抽象描述,其功能是保存变化的数据值和连接子元件,信号在元件的端口连接元件,变量在硬件中没有类似的对应关系,主要应用于高层次的建模中。

第8题:

下列哪个概念是硬件描述语言中特有的()。

A、变量

B、逻辑运算

C、信号

D、常数


参考答案:C

第9题:

变量的涵义是什么?变量名与变量值的关系?


正确答案:在程序执行过程中其值可以改变的数据,称为变量,它本质上代表了内存中的一小块空间。每个变量都必须有对应的名称来标识它,即变量名,而变量空间所存放的数据则称为变量值。

第10题:

在VHDL中PROCESS的启动是由PROCESS的输入信号的变化来启动PROCESS语句,这种信号也称为()信号。


正确答案:敏感